SystemVerilog and UVM

System Verilog language and UVM methodology

Implementing randc behavior using regular constraints in SystemVerilog

In SystemVerilog, variables declared with the randc keyword are random-cyclic variables that cycle through all the values in a random permutation of their declared range.  For eg: consider  a 2 bit variable declared as     randc bit [1:0] y; Every time this variable is randomized,  the values are iterated over the possible range (in this case 0,1,2,3)  …

Implementing randc behavior using regular constraints in SystemVerilog Read More »

What is a p_sequencer and an m_sequencer in UVM?

In SystemVerilog based OVM/UVM methodologies,  UVM sequences are objects with limited life time unlike a component which has a lifetime through out simulation. UVM Testbench – Sequences vs  Components Refer following standard UVM test bench diagram for a general concept.  All components like  test, env, scoreboard, agent, monitor, sequencer and driver  are derived from   uvm_component  …

What is a p_sequencer and an m_sequencer in UVM? Read More »

Verification Interview Questsions

What are your best ASIC Verification Interview Questions?

This question arises in every one’s mind while preparing for an ASIC Verification Interview. A lot of times in addition to understanding the technical concepts, you also needs to focus your preparation aligning with expectations from the interviewer and practice some of the commonly asked questions. This helps you to gain confidence and answer any related …

What are your best ASIC Verification Interview Questions? Read More »

Test your UVM skills for a VLSI front end Verification job

UVM (Universal Verification Methodology) is a SystemVerilog language based Verification methodology which is getting more and more popularity and adoption in the VLSI Verification industry. The methodology is currently in the IEEE working group 1800.2 and is expected to be an IEEE standard shortly.  What are some of UVM Interview questions that can test your …

Test your UVM skills for a VLSI front end Verification job Read More »

error

Enjoy this blog? Please spread the word :)