IP and VIPs in VLSI Design

An  Intellectual Property (IP) core in Semiconductors is a reusable unit of logic or functionality or a cell or a layout design  ...
Read More

My top answers of 2017 across VLSI, Semiconductor, Verification, Interviews and Career

Another year of writing on Quora completed (2017) with more than 300+ answers. That fell short slightly below my goal ...
Read More

5 Best Engineers who inspired and influenced my career

As I get close to two decades of experience in my career and if I look back, I can recollect ...
Read More

Cracking Digital VLSI Verification Interviews: Interview Success – A unique book

A large number of jobs in semiconductor industry fall in the category of Digital VLSI Design and Verification. With digital ...
Read More
Verification Interview Questsions

What are your best ASIC Verification Interview Questions?

This question arises in every one's mind while preparing for an ASIC Verification Interview. A lot of times in addition ...
Read More

Test your UVM skills for a VLSI front end Verification job

UVM (Universal Verification Methodology) is a SystemVerilog language based Verification methodology which is getting more and more popularity and adoption ...
Read More